Menu

Search

  |   Technology

Menu

  |   Technology

Search

Intel's 18A Node Touted to Surpass TSMC's 2nm, Launching Sooner with Advanced Tech

Intel CEO Pat Gelsinger revealing the potential of the 18A node in surpassing TSMC's 2nm technology.

Intel's CEO has voiced confidence in the company's 18A process, noting that it compares favorably with TSMC's 2nm node while also being supplied sooner.

Intel CEO Places 18A Node Ahead of TSMC's 2nm In Performance and Launch Timeline

At Team Blue's recent AI Everywhere event, where the company debuted its latest Meteor Lake chips, Intel CEO Pat Gelsinger spoke with Barron's. In an interview with the publication, CEO Gelsinger presented an update on Intel's 18A process, suggesting that it has the potential to outperform TSMC's N2 (2nm) node, particularly with the use of an enhanced power delivery mechanism.

“We announced two major innovations with 18A: a new transistor and backside power. I think everybody’s looking at the transistor of TSMC’s N2 versus our 18A. It’s not clear that one is dramatically better than the other. We’ll see who’s best. But the backside power delivery, everybody says Intel, score. You are years ahead of the competition. That’s powerful. That’s meaningful. It gives better area efficiency for silicon, which means lower cost. It gives better power delivery, which means higher performance. So, I have a good transistor. I have great power delivery. I think I’m a little bit ahead of N2, TSMC’s next process technology in time,” Intel CEO Pat Gelsinger stated, as per WCCFTech.

Intel's 18A manufacturing node will employ RibbonFET transistors as well as a novel "PowerVia" delivery technique, which is expected to yield considerable performance figures. It has been revealed that 18A over 20A could result in a 10% gen-to-gen improvement.

There have been claims that ARM may be Intel's first customer for the process, with plans to use it for mobile SOCs, however, this is presently just a rumor.

RibbonFET, PowerVia, and Potential ARM Collaboration Signal Semiconductor Advancements

Intel's 18A manufacturing node will include RibbonFET transistors as well as a revolutionary "PowerVia" delivery technology, which should result in significant performance gains.

It has been discovered that choosing 18A over 20A can result in a 10% gen-to-gen improvement. According to reports, ARM may be Intel's first customer for the technology, with intentions to use it for mobile SOCs, but this is currently just a rumor.

In addition, Intel cites several nodes beyond 18A in a presentation shown to Japanese media, and we witness the return of the iconic "+" from the 14nm era. Following 18A, at least three further nodes are mentioned, with "Intel Next+" particularly noting the adoption of HiNa EUV lithography. Production on this node is not scheduled to begin until 2025-2026 or later.

The forthcoming semiconductor markets will be far more vibrant than they were previously, with companies like Samsung Foundry and Intel vying for the throne.

Photo: Rubaitul Azad/Unsplash

  • Market Data
Close

Welcome to EconoTimes

Sign up for daily updates for the most important
stories unfolding in the global economy.